1. Convergencia Hardware/Software

En el museo del computador de Boston hay una sala dedicada a los lenguajes de programación. Entre otras demostraciones, una propone al visitante una prueba de reconocimiento de la sintaxis de distintos lenguajes. De una pared cuelga un conjunto de tablillas, cada una de ellas dedicada a un lenguaje distinto. En cada tablilla se muestra un ejemplo de código en el lenguaje correspondiente. El visitante debe identificar el lenguaje, levantar la tablilla y confirmar si el lenguaje que ha propuesto es el correcto. En la prueba aparecen lenguajes como Fortran, Cobol, Prolog, Lisp, C, Pascal, ADA y, sorprendentemente dado el contexto, VHDL.

Es curioso que VHDL está catalogado como 'lenguaje de pro-gramación', cuando en realidad es un lenguaje de descripción de hardware y en concreto el lenguaje de descripción de hard-ware que produce en la actualidad mas ingresos [VT94]. Pero un lenguaje de descripción hardware realmente tienea mucho en común con un lenguaje de programación, con el que al fin y al cabo se trata también de describir sistemas. La diferencia estriba únicamente en el distinto papel jugado por el software y el hardware en el sistema electrónico final y, como con-secuencia de ello, las primitivas que necesita el lenguaje para expresar ciertas características particulares con mayor facilidad.

Pero incluso los propios diseños de software y de hardware se van acercando y pareciendo cada vez mas. Esta claro que hay profesionales interesados únicamente en la aplicación, en la programación de la máquina y que, en gran medida, prescinden del conocimiento de la máquina en sí misma. Por otro lado, están los profesionales interesados en la máquina y despre-ocupados por la aplicación final para la que se utilice. Esta situación podemos encontrarla, tanto en entornos de diseño software sobre computadores de propósito general, como en entornos de diseño hardware sobre microprocesadores y, en general, en todo el abanico de situaciones intermedias. La frontera, sin embargo, nunca ha estado clara, de tal forma que el sistemista software siempre ha tenido que contar con los recursos hardware disponibles o necesarios; y la máquina siempre ha tenido que diseñarse con una mayor o menor dependencia del tipo de aplicación a la que estaba dirigida. La evolución de la tecnología producida en los últimos años es la que apunta a un acercamiento cada día mayor.

Este acercamiento se produce en dos direcciones distintas aunque relacionadas entre sí. Por un lado, la interrelación cada día mayor entre hardware y software tanto en sistemas de propósito general como en sistemas de aplicación específica (sistemas empotrados); tendencia que se enmarca dentro de las nuevas disciplinas de co-iseño hardware/software a las que posiblemente será necesario dedicar un número especial de Novática en una próxima edición. Por otro, el acercamiento de las metodologías de diseño y verificación: este último aspecto constituye el objetivo principal de la presente edición.

Este acercamiento se origina por evolución de las metodologías de diseño hardware con métodos usados tradicionalmente en el diseño software. En este sentido, ha sido definitiva la apari-ción de Lenguajes de Descripción de Hardware (HDLs) están-dares, como Verilog y particularmente VHDL. Lenguajes si-milares a los lenguajes de programación se utilizan ahora para la descripción, simulación, verificación y síntesis de sistemas electrónicos. Así, Verilog procede de 'C' y VHDL de ADA, del que se derivan muchas de sus características mas interesantes.

2. Motivaciones del lenguaje

Los lenguajes de descripción de Hardware (HDL's) se han venido utilizando desde los años 50 como medio de abordar la descripción de sistemas electrónicos cada vez mas complejos. Su desarrollo alcanza un cenit durante los 70, en los que es posible encontrar un elevado número de lenguajes tanto industriales (IDL de IBM, TI-HDL de Texas Instruments, ZEUS de General Electric, etc.) como universitarios (AHPL, DDL, CDL, ISPS, etc.) [COM77]. Los primeros eran lenguajes propietarios no disponibles fuera de la empresa correspon-diente; mientras que los segundos, estando disponibles, carecían del soporte y mantenimiento adecuados que permitieran su utilización industrial. Algunos sólo encontraron aplicación como entrada a una herramienta dada de simulación o síntesis. Otros, estaban enfocados a una tecnología, nivel de diseño o metodología de diseño dados. En los 80 se detectó la urgencia de disponer de un lenguaje estándar para soportar el proceso completo de diseño de sistemas electrónicos tan complejos como permitía entonces una tecnología microelectrónica y cuyo aumento se predecía para los 90. La figura 1 muestra la evolución de la microelectrónica que en 40 años ha pasado del dispositivo aislado a la capacidad de integrar un sistema completo sobre el mismo substrato semiconductor:

El diseño de sistemas electrónicos de esta complejidad no puede realizarse por un grupo pequeño de personas en una determinada empresa. En general, va a requerir de la inter-vención de distintos agentes responsables de aspectos parciales del producto final, tal como muestra la figura 2.

En un extremo se encuentra el usuario final, interesado princi-palmente en las prestaciones del producto y no tanto en la tecnología que las sustenta. En respuesta a esta demanda, el fabricante se ve empujado a la realización de productos (desde satélites y aviones hasta coches y lavadoras) en los que la repercusión de la electrónica en el precio final es creciente. El sistemista es el encargado de la fabricación del sistema electrónico a empotrar en el producto, sistema en el que los componentes tendrán mayores prestaciones debido, principalmente, al uso de escalas de integración crecientes. El centro de diseño proporciona al sistemista los circuitos integrados, sea bajo demanda (ASICs) o bien en respuesta a una necesidad de mercado genérica (circuitos integrados comerciales). En el otro extremo se encuentra la fundición, obligada a la renovación continua de la tecnología que minimice el precio del dispositivo.

Independientemente de que se trate de departamentos de la misma o de distintas empresas, el proceso comleto conlleva un flujo importante de información sobre el sistema y sus componentes a distintos niveles de detalle, desde la definición de los requisitos exigidos a cada uno hasta la documentación de su implementación o su modelo de simulación. Esta informa-ción se genera por agentes distintos en momentos distintos.

En este entorno se hace imprescindible la utilización de una notación única que permita la especificación, documentación y modelado de los circuitos y sistemas electrónicos.

Un último agente, de importancia creciente en el esquema anterior, es el vendedor de herramientas de diseño asistido por computador, sin las que es imposible mantener la competi-tividad en el diseño de sistemas electrónicos complejos. De hecho, desde los años 70, el esfuerzo por cada puerta necesario en el diseño de un circuito integrado se ha reducido en un 90% gracias a un mayor grado de automatización del proceso de diseño. El aumento de productividad logrado en los últimos años se debe a las herramientas de síntesis lógica y de alto nivel que, a partir de la descripción del comportamiento del circuito mediante un lenguaje de descripción de hardware, generan automáticamente la implementación lógica en la tecnología escogida (PLDs, FPGAs, celdas estándar, etc.) [HLLM95]. Estas herramientas constituyen actualmente el elemento principal de las metodologías 'top-down' que se describirán posteriormente [TFRRU95]. La utilización de HDLs en la descripción de la funcionalidad y la estructura del circuito permite que diseñadores de sistemas electrónicos no expertos en microelectrónica acceder al uso de estas tecnologías. Este es uno de los motivos que explica la utilización creciente en el proceso de diseño de HDLs (en la actualidad, Verilog y VHDL) [DLMTS95a][DLMTS95b].

3. Origen

Con objeto de reducir el tiempo de diseño de los circuitos integrados y permitir la introducción efectiva de tecnologías avanzadas en los sistemas militares, el Departamento de Defensa de los EEUU ha puesto en marcha el programa 'Very High Speed Integrated Circuits' (VHSIC). Este programa ha detectado la necesidad de un medio estándar de comunicación y documentación para la masiva cantidad de datos asociados al diseño de dispositivos de la escala y complejidad deseadas.

Tras varias versiones intermedias, revisadas extensivamente, tanto por el gobierno de los EEUU como por las industrias y Universidades involucradas (y que dieron lugar a la versión 7.2 del lenguaje), el IEEE publicó en diciembre de 1987 el estándar IEEE Std 1076-1987. Este lenguaje fue posteriormente adoptado como estándar ANSI. Como cualquier estándar del IEEE, VHDL ha tenido que ser ratificado a los cinco años de su publicación. En el proceso se han depurado algunos errores detectados y se han introducido mejoras que han dado lugar a la nueva versión del estándar denominada ANSI/IEEE Std 1076-1993 publicada en junio de 1994 [VHDL93]. Actualmente se tramita su cesión como estándar ISO.

4. Beneficios

Ta como especifica el Manual de Referencia del Lenguaje, VHDL es una notación formal con la intención de ser usada en todas las fases de creación de sistemas electrónicos. Debido a que VHDL es legible tanto por las personas como por las máquinas, soporta tanto el desarrollo, verificación, síntesis y chequeo del diseño hardware; como la comunicación de los datos del diseño hardware; así como el mantenimiento, modificación y la obtención de hardware.

Vamos a describir a continuación los beneficios concretos aportados por el lenguaje.

4.1. Disponibilidad pública

Independientemente de los beneficios obtenidos a partir de las características concretas del lenguaje que se describirán mas adelante, VHDL es un estándar no sometido a ninguna patente o marca registrada: así puede utilizarse sin restricciones por cualquier empresa o institución. Al estar mantenido y documen-tado por el IEEE, existe una garantía de estabilidad y soporte. Actualmente se esta trabajando en la definición de mecanismos de certificación de las herramientas que utilicen VHDL.

4.2. Independencia de la metodología y tecnología de diseño

VHDL se ha diseñado para soportar diferentes metodologías de diseño ('top-down' frente a diseño basado en módulos) así como diferentes tecnologías de diseño (circuitos comerciales, microprocesadores, PLDs, FPGAs, ASICs, etc) con distinta funcionalidad (circuitos combinacionales, síncronos y asíncro-nos). De este modo, el lenguaje puede usarse por organizaciones con puntos de vista diferentes y satisface las necesidades de di-seño distintas que puede tener el sistemista, el centro de diseño de ASICs, la fundición o el vendedor de herramientas CAD.

4.3. Independencia de la tecnología y proceso de fabricación

VHDL ha sido diseñado para ser independiente de la tecnología y el proceso de fabricación del circuito o el sistema electrónico. El lenguaje puede ser utilizado en la especificación, documentación y descripción de circuitos MOS, bipolares, BiCMOS o GaAs. Sin embargo, si se desea, es posible incluir en la descripción VHDL información específica tanto de la tecnología utilizada como de sus características (retrasos, consumos, dependencia de la temperatura, etc.).

4.4. Capacidad descriptiva en múltiples dominios y niveles de abstracción

Recibe el nombre de nivel de abstracción cada uno de los niveles de detalle en que se configura el proceso de diseño, desde la especificación hasta la implementación final. Recibe el nombre de dominio de descripción cada uno de los tres tipos de información que es necesario aportar en cada nivel de abstracción [TFRRU95]: funcional o de comportamiento, estructural (elementos y su interconexión) y físico (elementos físicos y posicionado de los mismos).

El diseño digital se configura, de esta manera, como un proceso jerárquico que, desde el conjunto de especificaciones iniciales, y a partir de implementaciones intermedias a distintos niveles y en diferentes dominios, finaliza con el 'layout' del PCB o del CI como implementación final. VHDL ha sido diseñado para dar soporte en un amplio rango de niveles de abstracción y dominios de descripción.

La utilización de un único lenguaje en todo el proceso de diseño reduce el número de representaciones internas, simpli-ficando el manejo de datos. Los distintos componentes del sistema pueden diseñarse con mayor independencia, ya que el entorno permite la simulación multinivel, es decir, combinandora de componentes descritos al nivel de especificación con componentes ya implementados al nivel de puerta. Las formas de onda desimulación utilizadas en la verificación de la corrección de las especificaciones pueden utilizarse a lo largo de todo el proceso de diseño, asegurando que las distintas implementaciones intermedias reflejan correctamente la intención de la especificación inicial.

La reducción del número de representaciones simplifica el número de herramientas a utilizar. Por ejemplo, un mismo simulador puede utilizarse en distintos niveles de abstracción (RT, lógico, etc.). Una misma herramienta de síntesis puede aplicar los algoritmos adecuados al nivel de detalle del componente a sintetizar.

4.5. Uso como formato de intercambio y documentación

Dentro del mismo entorno, la descripción VHDL sirve como medio de intercambio de información a lo largo de todas las etapas del proceso de diseño. Como notación formal, facilita la documentación del mismo. Una vez obtenida la implemen-tación final, la descripción VHDL puede usarse con objeto de especificar las características y prestaciones del producto. En ciertos casos, la documentación y el modelado mediante una notación estándar como VHDL puede incidir en una mayor capacidad de penetración del producto en el mercado.

La aparición de VHDL ha permitido la existencia de bibliotecas de modelos de simulación de componentes comerciales.

En el esquema de desarrollo de sistemas electrónicas propuesto en la figura 2, la existencia de un estándar como VHDL resulta imprescindible a la hora de asegurar el enorme flujo de información involucrado. En este contexto, VHDL va a permitir la especificación del sistema completo y de cada una de sus partes. Tanto en el caso de que éstas sean circuitos comerciales como si son ASICs, VHDL permitirá disponer de modelos de simulación. Este hecho tiene gran importancia porque permite el desarrollo del sistema independientemente del progreso de sus componentes. El proceso de diseño del sistema completo puede continuar porque se dispone de la especificación en VHDL de los distintos componentes. Una vez obtenidos éstos, los modelos iniciales pueden sustituirse por los modelos correspondientes a los componentes implemen-tados, verificando que su inserción en el sistema cumple los requisitos iniciales. De esta forma se reduce significativamente el esfuerzo de integración y el tiempo de desarrollo.

4.6. Independencia de los suministradores

Como medio estándar de entrada a utilidades de cómputo, VHDL permite que las descripciones y modelos generados en un sitio sean utilizables en cualquier otro independientemente de las herramientas de diseño que utilicen. Este hecho independiza al sistemista de sus suministradores: por un lado, de los distribuidores de componentes comerciales, ya que los modelos de simulación van a ser compatibles; por otro, de los centros de diseño, ya que las especificaciones requeridas van a ser aceptadas por cualquiera de ellos; por último, de los vendedores de herramientas, ya que éstas siempre van a utilizar VHDL como entrada.

4.7. Capacidad de diseño de sistemas complejos

VHDL fue diseñado con una filosofía similar a la de muchos lenguajes de programación actuales en los que las ayudas al diseño estructurado y modular son tan importantes como su capacidad descriptiva, a la hora de facilitar el diseño de sistemas complejos en equipos de trabajo. Existen elementos en el lenguaje concebidos con el objeto de soportar el compartimiento de recursos, la experimentación y el manejo del diseño.

Entre ellos cabe destacar los paquetes que permiten aislar los recursos a utilizar en el diseño de las entidades descriptivas que, ahora, pueden compartirlos. Las configuraciones permiten explorar distintas implementaciones para el mismo diseño. Al mismo tiempo, una misma entidad de diseño puede llevar asociadas arquitecturas diferentes que pueden representar distintas tentativas de implementación o de implementaciones a distinto nivel de detalle o abstracción.

VHDL es un lenguaje orientado a tipos, lo que facilita la representación de cualquier tipo de información. El uso de tipos de datos abstractos, junto con la capacidad de describir algoritmos complejos represntada por los procesos, facilita la especificación de sistemas de gran complejidad.

El lenguaje soporta la simplificación de un problema complejo mediante las técnicas de partición y jerarquía. Así, la arqui-tectura puede describirse en función de bloques, procesos y componentes externos. Los bloques pueden describirse en función de los bloques, procesos y componentes de un nivel de jerarquía inferior, y así sucesivamente. Los procesos pueden estructurarse en procedimientos y funciones.

4.8. Reutilización

El uso de un lenguaje estándar estable permite la reutilización en diseños futuros de las descripciones y datos generados durante el diseño actual con el consiguiente ahorro de recursos. VHDL facilita la reutilización del diseño gracias a varios factores. Por un lado, la independencia tecnológica comentada anteriormente permite que una descripción VHDL de un circuito o componente determinado, diseñado originalmente para una determinada tecnología (CMOS, bipolar, etc.) y realización (FPGA, ASIC, etc.) puede reutilizarse en un diseño posterior, aunque la tecnología a utilizar sea distinta. Por otro lado, el lenguaje dispone de recursos, como los genéricos, que permiten adaptar la estructura y el comporta-miento de un circuito a las condiciones de utilización.

4.9. Facilitación de participación en proyectos internacionales

En la actualidad, VHDL constituye el lenguaje estándar de referencia a nivel internacional. Impulsado originalmente por el DoD de los EEUU, cualquier programa lanzado por alguna de sus agencias oficiales obliga al uso de VHDL en el modelado de los sistemas y en la documentación del proceso de diseño. Este hecho ha motivado que la gran mayoría de empresas y universidades americanas hayan adoptado el lenguaje.

En Europa, la situación es similar. La mayoría de las grandes empresas del sector han definido VHDL como el lenguaje preferencial en todas las tareas de diseño, modelado, documen-tación y mantenimiento de sistemas electrónicos. De hecho, el número de usuarios de VHDL en Europa es incluso mayor que en los EEUU. En consecuencia, en la mayoría de los progra-mas relacionados con el diseño electrónico, como ESPRIT, VHDL resulta el lenguaje común en la mayoría de los consorcios. Agencias europeas, como la ESA, obligan al uso de VHDL como notación estándar.

5. Crítica

VHDL tiene grandes ventajas, tal como se ha expuesto en los apartados anteriores. Sin embargo, no seria justo que no se indicaran también los puntos negativos del lenguaje, algunos de ellos difíciles de evitar, pero otros no tanto.

5.1. Lenguaje de comité

VHDL es, como también lo fue ADA, un lenguaje diseñado por un comité. Es bien sabido que estos lenguajes definidos por consenso muchas veces pecan de demasiado complejos, precisamente para dar gusto a las diversas opiniones de los miembros del comité. Quizás sean mas 'elegantes' otros lengua-jes con un núcleo sencillo al que se han añadido diversas extensiones, pero esto es algo opinable. En cualquier caso, este defecto de VHDL incluso se ha acentuado en su revisió de 1993, resultando ahora un lenguaje, aunque mejorado en mu-chos aspectos, todavía mas difícil de aprender para un novato.

5.2. Lenguaje de simulación

VHDL esta basado en los conceptos de simulación discreta dirigida por eventos [Olc95]. Por ello, los modelos expresados en este lenguaje son muy fáciles de simular. Este era el propósito inicial del lenguaje además del de documentación. Posteriormente se está utilizando cada vez más para otros propósitos, especialmente el de síntesis. Sin embargo, algunas de las primitivas del lenguaje son difíciles de sintetizar o no tienen una correspondencia clara con el hardware. Por eso, los vendedores de herramientas de síntesis han definido subcon-juntos para sus sintetizadores. Distintos sintetizadores admi-ten distintos subconjuntos y por ello se pierde en gran medida la ventaja de lenguaje estandarizado. Estas herramientas permiten un aumento importante en la productividad del proceso de diseño y, en consecuencia, abordar diseños con la complejidad que actualmente soporta la tecnología.

El problema que se plantea es la no portabilidad de las descripciones VHDL entre diseñadores que utilizan herra-mientas distintas, de manera que un diseño descrito para una herramienta determinada no puede transferirse a una herramienta distinta sin un proceso manual de traducción que, en el caso de diseño complejos, puede requerir un esfuerzo importante y, en algunos casos, inabordable.

La actividad de estandarización internacional destinada a solventar estos problemas está dirigida por el 'IEEE Synthesis Package Working Group'. En coordinación con este grupo, el 'European VHDL Synthesis Working Group' (EVSWG) tiene como objetivo aglutinar y coordinar la contribución técnica europea al proceso de estandarización de VHDL en síntesis. El principal resultado a lograr es la portabilidad de las descripciones de síntesis y la solución, por tanto, de todos los problemas descritos anteriormente [VBDFMLJ94].

5.3. Ausencia de semántica formal

VHDL es, como la mayoría de los lenguajes, un lenguaje que fue diseñado sin darle una semántica formal. Se entiende por semántica formal de un lenguaje la definición de sus construc-ciones por medios matemáticamente precisos. El significado del lenguaje queda por tanto referido a conceptos matemáticos.

Actualmente no existe ninguna semántica formal estándar para el lenguaje. Si bien su sintaxis está formalmente definida por medio de una gramática, no lo está la semántica, para la cual sólo existe una descripción verbal en el Manual de Referencia. Los distintos simuladores proporcionan una implementación concreta, pero aún ahí difieren, si bien muy ligeramente, los distintos simuladores.

En la actualidad existen varias aproximaciones para dotar a

VHDL de una semántica formal [DeBr95].

6. Actividad actual en torno a VHDL

La actividad que se ha generado entorno a VHDL es muy intensa. En muchos paises, entre ellos en España, se han creado grupos de trabajo en torno a VHDL. Se realizan reuniones periódicas con presentación de trabajos tanto en Estados Unidos (VIUF, VHDL International User‘s Forum) como en Europa (VHDL Forum for CAD in Europe), así como el con-greso EuroVHDL que se celebra una vez al año.

Todas las empresas que se dedican a la microelectrónica han ido paulatinamente adaptándose a VHDL. Incluso en Japón tiene una creciente aceptación, a pesar de que tienen su propio lenguaje estándar UDL/I. Siemens ha creado un 'VHDL Center'. En resumen, el lenguaje está teniendo un impacto muy importante.

E proceso de estandarización alrededor de VHDL no se detuvo con la primera versión del lenguaje (VHDL‘87). Ha continuado con la nueva versión (VHDL‘93) así como con sucesivas adiciones, mejoras y metodologías de uso. Entre ellas las más importantes son: la extensión analógica (1076.1) que permitirá la utilización de un único lenguaje en todas las tareas de especificación, simulación y síntesis de sistemas electró-nicos tanto digitales como analógicos y mixtos; el paquete matemático (1076.2); el paquete de síntesis (1076.3) ya comentado; VITAL (1076.4) orientada a la definición de modelos de librería estándar; el grupo de trabajo en test; la extensión a la especificación a nivel sistema, etc. Otros lenguajes estándar, como MHDL orientado a la descripción de circuitos de microondas, tienen relación con VHDL.

La participación europea en el esfuerzo internacional de estandarización se canaliza a través del proyecto ESPRIT 8370 ESIP. Liderado por SIEMENS-NIXDORF, en el proyecto participan prácticamente todas las grandes compañías europeas del sector (ANACAD, BULL, ICL, PHILIPS, ZUKEN-REDAC, SYNTHESIA, TGI y THOMSON-CSF) además de varias universidades y centros de investigación como contratistas asociados.

La participación española en este proyecto está constituida por TGI, la Universidad Politécnica de Madrid (UPM) y la Universidad de Cantabria (UC) en los temas de síntesis (UC) y test (TGI, UPM y UC).

7. Contenidos del prsente número

En esta monografía de la revista Novática dedicado al VHDL se pretende dar una panorámica general de las características del lenguaje y de sus principales aplicaciones.

En primer lugar, se incluyen dos aportaciones destinadas a introducir y comparar los lenguajes de descripción de hardware mas importantes, VHDL, Verilog y UDL/I [DLMTS95a] [DLMTS95b].

A continuación, se ahonda en los mecanismos de simulación dirigida por eventos discretos que soporta el lenguaje y que lo distinguen de un lenguaje de programación concurrente como ADA [Olc95].

El siguiente trabajo presenta las nuevas tecnologías de diseño basadas en lenguajes de descripción de hardware [TFRRU95]. Inmediatamente después, se profundizan dos de las aplicaciones mas novedosas y de mayor desarrollo potencial futuro, el test [RiUc95] y la síntesis de alto nivel o síntesis de comportamiento [HMSF95].

La monografía se cierra con un artículo dedicado a la implantación industrial de metodologías de diseño basadas en VHDL y las mejoras de productividad que implica [HLLM95].

8. Referencias

[COM77] Computer, June, 1977.

[DeBr95] C. Delgado Kloos, P. T. Breuer (editores): "Formal Semantics for VHDL". Kluwer 1995 (aparecerá en Marzo de 1995)

[DLMTS95a] C. Delgado; E. Lecha; M. Moré; Ll. Terés y L. Sánchez: "Introducción a los lenguajes VHDL, Verilog y UDL/I", Novática, 112.

[DLMTS95b] C. Delgado; E. Lecha; M. Moré; Ll. Terés y L. Sánchez: "Comparación d los lenguajes Verilog y UDL/I con VHDL", Novatica, 112.

[HLLM95] F. Herrerías; Andrés López; Gregorio López y F. Moreno: "Implantación de metodologías de diseño basadas en VHDL en el entorno industrial", Novatica, 112.

[HMSF95] R. Hermida; D. Mozos; J. Setién y M. Fernández: "Sintesis de alto nivel: Especificación y técnicas de diseño", Novática, 112.

[Olc95] S. Olcoz: "VHDL: Algo mas que un lenguaje de simulación dirigida por eventos discretos", Novática, 112. [RiUc95] T. Riesgo y J. Uceda: "Test en VHDL", Novática, 112. [TFRRU95] Y. Torroja; R. de Fermín; T. Riesgo; F. Reguero y J. Uceda: "El proceso de diseño basado en lenguajes de descripción de hardware", Novática, 112.

[VBDFMLJ94] E. Villar; L. Berrojo; A. Debreil; B. Fjellborg; M. Mentes; C-W. Lee and N. Jansson: "Standardization activities: The synthesis package", ESPRIT 8370 ESIP Deliverable, July, 1994.

[VHDL93] "IEEE Standard VHDL language reference manual", IEEE, June, 1994.

[VT94] "News Flash - VHDL takes over Verilog", VHDL Times, V.3, N.2, Fall 1994, pp. 20.